1YOSYS(1)                    General Commands Manual                   YOSYS(1)
2
3
4

NAME

6       yosys - Yosys Open SYnthesis Suite
7

SYNOPSIS

9       yosys [options] <infile>
10

DESCRIPTION

12       This manual page documents briefly the yosys command.
13
14       yosys is a program that synthesizes RTL to gate-level logic.
15

OPTIONS

17       A summary of options is included below.
18
19       -Q     suppress printing of banner (copyright, disclaimer, version)
20
21       -T     suppress  printing  of footer (log hash, version, timing statis‐
22              tics)
23
24       -q     quiet operation. only write error message to  console  use  this
25              option twice to also quiet warning messages
26
27       -v <level>
28              print  log  headers up to level <level> to the console. (implies
29              -q)
30
31       -t     annotate all log messages with a time stamp
32
33       -d     print more detailed timing stats at exit
34
35       -l logfile
36              write log messages to the specified file
37
38       -L logfile
39              like -l but open log file in line buffered mode
40
41       -o outfile
42              write the design to the specified file on exit
43
44       -b backend
45              use this backend for the output file specified  on  the  command
46              line
47
48       -f frontend
49              use  the  specified  frontend for the input files on the command
50              line
51
52       -H     print the command list
53
54       -h command
55              print the help message for the specified command
56
57       -s scriptfile
58              execute the commands in the script file
59
60       -c tcl_scriptfile
61              execute the commands in the tcl script file (see 'help tcl'  for
62              details)
63
64       -p command
65              execute the commands
66
67       -m module_file
68              load the specified module (aka plugin)
69
70       -X     enable tracing of core data structure changes. for debugging
71
72       -M     will  slightly randomize allocated pointer addresses. for debug‐
73              ging
74
75       -A     will call abort() at the end of the script. for debugging
76
77       -D <header_id>[:<filename>]
78              dump the design when printing the  specified  log  header  to  a
79              file.   yosys_dump_<header_id>.il is used as filename if none is
80              specified.  Use 'ALL' as <header_id> to dump at every header.
81
82       -W regex
83              if a warning message matches the regex, it is printed as regular
84              message instead.
85
86       -e regex
87              if  a  warning message matches the regex, it is printed as error
88              message instead and the tool terminates with  a  nonzero  return
89              code.
90
91       -V     print version information and exit
92
93       -E depsfile
94              write  a  Makefile  dependencies  file  with in- and output file
95              names
96
97       -S     The option -S is an alias for the  "synth"  command,  a  default
98              script  for  transforming  the  Verilog  input  to  a gate-level
99              netlist. For example:
100
101                  yosys -o output.blif -S input.v
102
103

USAGE

105       For more complex synthesis jobs it is recommended to use the read_* and
106       write_*  commands in a script file instead of specifying input and out‐
107       put files on the command line.
108
109       When no commands, script files or input files are specified on the com‐
110       mand line, yosys automatically enters the interactive command mode. Use
111       the 'help' command to get information on the individual commands.
112
113

SEE ALSO

115       berkeley-abc(1), yosys-config(1), yosys-filterlib(1)
116

AUTHOR

118       yosys was written by Clifford Wolf <clifford@clifford.at>.
119
120       This  manual  page   was   written   by   Ruben   Undheim   <ruben.und‐
121       heim@gmail.com>, for the Debian project (and may be used by others).
122
123
124
125                               October 17, 2018                       YOSYS(1)
Impressum