1GTKWAVERC(5)              GTKWave Configuration File              GTKWAVERC(5)
2
3
4

NAME

6       gtkwaverc - GTKWave Configuration File
7

SYNTAX

9       option <value>
10
11       The  configuration file is a series of option and value pairs.  Comment
12       lines marked with an initial  '#'  character  are  permissible.   Blank
13       lines are ignored.
14

DESCRIPTION

16       Configuration  file for gtkwave(1).  The search path for the configura‐
17       tion file (if unspecified) is the current working directory followed by
18       the user's home directory.
19

OPTIONS

21       accel <"pathvalue" accelerator>
22              This allows replacement of menu accelerator keys.  See the .gtk‐
23              waverc file in the source distribution for examples on pathvalue
24              and accelerator syntax.  The special accelerator value of (null)
25              means that no accelerator is bound to the menu item.
26
27       alt_hier_delimeter <value>
28              This allows another character in addition to the  hier_delimeter
29              to  be used to delimit levels in the hierarchy for VCD. Only the
30              first character in the value is significant. Note that  this  is
31              normally  off. The intended use is to resolve the hierarchies of
32              netlist based models that often contain slashes to delimit hier‐
33              archy inside of $var statements.
34
35       append_vcd_hier <value>
36              Allows  the  specification  of a prefix hierarchy for VCD files.
37              This can be done in "pieces," so that multiple layers of hierar‐
38              chy  are  prepended  to  symbol  names with the most significant
39              addition occurring first (see .gtkwaverc  in  the   examples/vcd
40              directory).  The  intended use of this is to have the ability to
41              add "project" prefixes which allow easier  selection  of  every‐
42              thing from the tree hierarchy.
43
44       atomic_vectors <value>
45              Speeds  up  vcd loading and takes up less memory. This option is
46              deprecated; it is currently the default.
47
48       autocoalesce <value>
49              A nonzero value  enables  autocoalescing  of  VCD  vectors  when
50              applicable.  This  may be toggled dynamically during wave viewer
51              usage.
52
53       autocoalesce_reversal <value>
54              causes split vectors to be reconstructed in reverse order  (only
55              if autocoalesce is also active).
56
57       autoname_bundles <value>
58              A  nonzero value indicates that GTKWave will create its own bun‐
59              dle names rather than prompting the user for them.
60
61       color_0 <value>
62              trace color when 0.
63
64       color_1 <value>
65              trace color when 1.
66
67       color_back <value>
68              background color.
69
70       color_baseline <value>
71              middle mouse button marker color.
72
73       color_black <value>
74              color value for "black" in signal window.
75
76       color_dash <value>
77              trace color when don't care ("-").
78
79       color_dashfill <value>
80              trace color (inside of box) when don't care ("-").
81
82       color_dkblue <value>
83              color value for "dark blue" in signal window.
84
85       color_dkgray <value>
86              color value for "dark gray" in signal window.
87
88       color_grid <value>
89              grid color (use Alt-G/Shift-Alt-G to show/hide grid).
90
91       color_high <value>
92              trace color when high ("H").
93
94       color_low <value>
95              trace color when low ("L").
96
97       color_ltgray <value>
98              color value for "light gray" in signal window.
99
100       color_mark <value>
101              color of the named markers.
102
103       color_mdgray <value>
104              color value for "medium gray" in signal window.
105
106       color_mid <value>
107              trace color when floating ("Z").
108
109       color_normal <value>
110              color value for "normal" GTK state in signal window.
111
112       color_time <value>
113              text color for timebar.
114
115       color_timeb <value>
116              text color for timebar's background.
117
118       color_trans <value>
119              trace color when transitioning.
120
121       color_u <value>
122              trace color when undefined ("U").
123
124       color_ufill <value>
125              trace color (inside of box) when undefined ("U").
126
127       color_umark <value>
128              color of the unnamed (primary) marker.
129
130       color_value <value>
131              text color for vector values.
132
133       color_vbox <value>
134              vector color (horizontal).
135
136       color_vtrans <value>
137              vector color (verticals/transitions).
138
139       color_w <value>
140              trace color when weak ("W").
141
142       color_wfill <value>
143              trace color (inside of box) when weak ("W").
144
145       color_white <value>
146              color value for "white" in signal window.
147
148       color_x <value>
149              trace color when undefined ("X") (collision for VHDL).
150
151       color_xfill <value>
152              trace color (inside of box) when undefined ("X") (collision  for
153              VHDL).
154
155       constant_marker_update <value>
156              A  nonzero  value indicates that the values for traces listed in
157              the signal window are to be updated  constantly  when  the  left
158              mouse  button  is  being  held  down rather than only when it is
159              first pressed then when released (which is the default).
160
161       convert_to_reals <value>
162              Converts all integer and parameter VCD declarations to real-val‐
163              ued ones when set to a nonzero/yes value. The positive aspect of
164              this is that integers and parameters will take up less space  in
165              memory  and  will  automatically  display in decimal format. The
166              negative aspect of this is that  integers  and  parameters  will
167              only  be  displayable  as  decimals  and  can't be bit reversed,
168              inverted, etc.
169
170       cursor_snap <value>
171              A nonzero value indicates the number of pixels the marker should
172              snap to for the nearest signal transition.
173
174       disable_mouseover <value>
175              A  nonzero value indicates that signal/value tooltip pop up bub‐
176              bles on mouse button presses should be  disabled  in  the  value
177              window.  A  zero  value  indicates that value tooltips should be
178              active (default is disabled).
179
180       disable_tooltips <value>
181              A nonzero value indicates that tooltip pop up bubbles should  be
182              disabled.  A zero value indicates that tooltips should be active
183              (default).
184
185       do_initial_zoom_fit <value>
186              A nonzero value indicates that the  trace  should  initially  be
187              crunched to fit the screen. A zero value indicates that the ini‐
188              tial zoom should be zero (default).
189
190       dynamic_resizing <value>
191              A nonzero value indicates that dynamic resizing should  be  ini‐
192              tially  enabled  (default).  A zero value indicates that dynamic
193              resizing should be initially disabled.
194
195       enable_fast_exit <value>
196              Allows exit without bringing up a confirmation requester.
197
198       enable_ghost_marker <value>
199              lets the user turn on/off the ghost marker during primary marker
200              dragging. Default is enabled.
201
202       enable_horiz_grid <value>
203              A  nonzero  value  indicates  that when grid drawing is enabled,
204              horizontal lines are to be drawn. This is the default.
205
206       enable_vcd_autosave <value>
207              causes the vcd loader to  automatically  generate  a  .sav  file
208              (vcd_autosave.sav  )  in the cwd if a save file is not specified
209              on the command line. Note that this mirrors the  VCD  $var  defs
210              and  no  attempt  is  made  to  coalesce  split  bitvectors back
211              together.
212
213       enable_vert_grid <value>
214              A nonzero value indicates that when  grid  drawing  is  enabled,
215              vertical  lines  are to be drawn. This is the default. Note that
216              all   possible    combinations    of    enable_horiz_grid    and
217              enable_vert_grid values are acceptable.
218
219       fontname_logfile <value>
220              When followed by an argument, this indicates the name of the X11
221              font that you wish to use for the logfile browser. You may  gen‐
222              erate appropriate fontnames using the xfontsel program.
223
224       fontname_signals <value>
225              When followed by an argument, this indicates the name of the X11
226              font that you wish to use for signals. You may  generate  appro‐
227              priate fontnames using the xfontsel program.
228
229       fontname_waves <value>
230              When followed by an argument, this indicates the name of the X11
231              font that you wish to use for waves. You may generate  appropri‐
232              ate  fontnames  using the xfontsel program. Note that the signal
233              font must be taller than the wave font or the viewer  will  com‐
234              plain then terminate.
235
236       force_toolbars <value>
237              When  enabled,  this forces everything above the signal and wave
238              windows to be rendered as toolbars. This allows for them  to  be
239              detached  which  allows  for  more  usable wave viewer space. By
240              default this is off.
241
242       hide_sst <value>
243              Hides the Signal Search Tree widget for GTK2.4 and greater  such
244              that  it  is  not  embedded  into the main viewer window.  It is
245              still reachable as an external widget through the menus.
246
247       hier_delimeter <value>
248              This allows characters other than '/' to be used to delimit lev‐
249              els  in  the hierarchy. Only the first character in the value is
250              significant.
251
252       hier_grouping <value>
253              For the tree widgets, this allows the hierarchies to be  grouped
254              in a single place rather than spread among the netnames.
255
256       hier_max_level <value>
257              Sets  the  maximum hierarchy depth (from the right side) to dis‐
258              play for trace names. Note that a value  of  zero  displays  the
259              full hierarchy name.
260
261       hpane_pack <value>
262              A  nonzero  value  indicates  that the horizontal pane should be
263              constructed using the gtk_paned_pack functions (default and rec‐
264              ommended).  A  zero  value  indicates that gtk_paned_add will be
265              used instead.
266
267       initial_window_x <value>
268              Sets the size of the initial width of the  wave  viewer  window.
269              Values  less  than  or  equal to zero will set the initial width
270              equal to -1 which will let GTK determine the minimum size.
271
272       initial_window_xpos <value>
273              Sets the size of the initial x coordinate  of  the  wave  viewer
274              window. -1 which will let the window manager determine the posi‐
275              tion.
276
277       initial_window_y <value>
278              Sets the size of the initial height of the wave  viewer  window.
279              Values  less  than  or  equal to zero will set the initial width
280              equal to -1 which will let GTK determine the minimum size.
281
282       initial_window_ypos <value>
283              Sets the size of the initial y coordinate  of  the  wave  viewer
284              window. -1 which will let the window manager determine the posi‐
285              tion.
286
287       left_justify_sigs <value>
288              When nonzero, indicates that the signal window signal name  jus‐
289              tification  should default to left, else the justification is to
290              the right (default).
291
292       lxt_clock_compress_to_z <value>
293              For LXT (not LXT2) allows clocks to compress to a 'z'  value  so
294              that regular/periodic value changes may be noted.
295
296       page_divisor <value>
297              Sets  the scroll amount for page left and right operations. (The
298              buttons, not the hscrollbar.) Values over 1.0 are taken  as  1/x
299              and  values  equal  to  and  less  than 1.0 are taken literally.
300              (i.e., 2 gives a  half-page  scroll  and  .67  gives  2/3).  The
301              default is 1.0.
302
303       ps_maxveclen <value>
304              sets  the maximum number of characters that can be printed for a
305              value in the signal window portion of  a  postscript  file  (not
306              including  the  net  name itself). Legal values are 4 through 66
307              (default).
308
309       show_base_symbols <value>
310              A nonzero value (default) indicates that the numeric  base  sym‐
311              bols for hexadecimal ('$'), binary ('%'), and octal ('#') should
312              be rendered. Otherwise they will be omitted.
313
314       show_grid <value>
315              A nonzero value (default) indicates that a grid should be  drawn
316              behind  the  traces.  A  zero  indicates  that no grid should be
317              drawn.
318
319       splash_disable <value>
320              Turning this off enables the splash screen with the GTKWave mas‐
321              cot when loading a trace. Default is on.
322
323       sst_expanded <value>
324              When  true  allows  the  SST dialog (when not hidden) to come up
325              already expanded.
326
327       use_big_fonts <value>
328              A nonzero value indicates that any text rendered into  the  wave
329              window  will  use fonts that are four points larger in size than
330              normal. This can enhance readability.  A  zero  value  indicates
331              that normal font sizes should be used.
332
333       use_frequency_delta <value>
334              allows  you  to switch between the delta time and frequency dis‐
335              play in the upper right corner of the main window when measuring
336              distances  between  markers.  Default behavior is that the delta
337              time is displayed (off).
338
339       use_full_precision <value>
340              does not round time values when the number of  ticks  per  pixel
341              onscreen  is  greater  than  10 when active. The default is that
342              this feature is disabled.
343
344       use_maxtime_display <value>
345              A nonzero value indicates that the maximum  time  will  be  dis‐
346              played  in  the upper right corner of the screen. Otherwise, the
347              current primary (unnamed) marker time will  be  displayed.  This
348              can  be  toggled  at  any  time  with the Toggle Max-Marker menu
349              option.
350
351       use_nonprop_fonts <value>
352              Allows accelerated redraws of the signalwindow that can be  done
353              because the font width is constant. Default is off.
354
355       use_roundcaps <value>
356              A  nonzero  value  indicates  that vector traces should be drawn
357              with rounded caps rather than perpendicular  ones.  The  default
358              for this is zero.
359
360       use_scrollbar_only <value>
361              A  nonzero value indicates that the page, shift, fetch, and dis‐
362              card buttons should  not  be  drawn  (i.e.,  time  manipulations
363              should  be  through  the  scrollbar only rather than front panel
364              buttons). The default for this is zero.
365
366       vcd_explicit_zero_subscripts <value>
367              indicates that signal  names  should  be  stored  internally  as
368              name.bitnumber  when  enabled.  When  disabled,  a more "normal"
369              ordering of name[bitnumber] is used. Note  that  when  disabled,
370              the Bundle Up and Bundle Down options are disabled in the Signal
371              Search Regexp,  Signal Search Hierarchy, and Signal Search  Tree
372              options.  This  is necessary as the internal data structures for
373              signals are represented with one "less" level of hierarchy  than
374              when  enabled  and those functions would not work properly. This
375              should not be an issue if atomic_vectors  are  enabled.  Default
376              for vcd_explicit_zero_subscripts is disabled.
377
378       vcd_preserve_glitches <value>
379              indicates  that  any repeat equal values for a net spanning dif‐
380              ferent time values in the vcd file are not to be compressed into
381              a  single  value  change  but  should  remain  in order to allow
382              glitches to be present  for  this  case.  Default  for  vcd_pre‐
383              serve_glitches is disabled.
384
385       vcd_warning_filesize <value>
386              produces  a  warning message if the VCD filesize is greater than
387              the argument's size in MB.  Set to zero to disable this.
388
389       vector_padding <value>
390              indicates the number of pixels of extra whitespace  that  should
391              be  added  to any strings for the purpose of calculating text in
392              vectors. Permissible values are 0 to 16 with the  default  being
393              4.
394
395       vlist_compression <value>
396              indicates  the  value  to  pass  to zlib during vlist processing
397              (which is used in the VCD recoder).   -1  disables  compression,
398              0-9 correspond to the value zlib expects.  4 is default.
399
400       wave_scrolling <value>
401              a nonzero value enables scrolling by dragging the marker off the
402              left or right sides of the wave window. A  zero  value  disables
403              it.
404
405       zoom_base <value>
406              allows  setting  of  the  zoom base with a value between 1.5 and
407              10.0. Default is 2.0.
408
409       zoom_center <value>
410              a nonzero value enables center zooming, a  zero  value  disables
411              it.
412
413       zoom_pow10_snap <value>
414              corresponds to the Zoom Pow10 Snap menu option. Default for this
415              is disabled (zero).
416

AUTHORS

418       Anthony Bybell <bybell@nc.rr.com>
419

SEE ALSO

421       gtkwave(1)
422
423
424
425
426Anthony Bybell                      3.0.16                        GTKWAVERC(5)
Impressum