1GHDL(1) GHDL(1)
2
3
4
6 ghdl - VHDL compiler/simulator
7
9 ghdl [command] [options] files...
10
12 This manual page documents briefly the ghdl command. This manual page
13 was written for user of man, but is not as complete as the reference
14 documentation.
15
16 Instead, users should read the GHDL texinfo manual
17
19 This program follows the usual GNU command line syntax, with long
20 options starting with two dashes (`-'). A summary of the main modes
21 and options is included below. For a complete description, refer to
22 the GHDL texinfo manual.
23
24 Basic commands:
25
26 -a Analysis, i.e. ghdl -a file.vhdl
27
28 -e Elabortation, i.e. ghdl -e unit_name
29
30 -r Run: run the simulation, i.e. ghdl -r unit_name
31
32 -s Syntax-check, i.e. ghdl -s file.vhdl
33
34 --clean
35 Clean: remove generated files, i.e. ghdl --clean
36
37 -h, --help
38 Help, i.e. ghdl --help
39
40 --version
41 Version, i.e. ghdl --version
42
43 Basic options:
44
45 --work=NAME
46 Name of the WORK library, i.e. ghdl -a --work=foo foo.vhdl
47
48 --std=STD
49 Which VHDL standard (87|93|93c|00|02), i.e. ghdl -a --std=87
50 old.vhdl
51
52 --ieee=VER
53 Which IEEE library (none|standard|synopsys|mentor), i.e. ghdl -a
54 --ieee=synopsys broken.vhdl
55
56 --no-vital-checks
57 Disable VITAL restriction checking, i.e. ghdl -a
58 --no-vital-checks unsupported_vital.vhdl
59
60 There are many more modes and options; please consult the documenta‐
61 tion.
62
63 Executables created by GHDL have addition simulation options. The most
64 important ones are listed below:
65
66 --help Show options for simulation and execution.
67
68 --assert-level=LEVEL
69 Assert level at which to stop simulation (none|note|warn‐
70 ing|error|failure), i.e. ./touchy_design --assert-level=note
71
72 --stop-time=TIME
73 Stop simuation after TIME, i.e. ./design --stop-time=50ns
74
75 --vcd=FILENAME
76 Dump VCD to FILENAME (a waveform dump, viewable with--for
77 instance--gtkwave), i.e. ./design --vcd=design.vcd
78
79 --sdf=[TYPE=]PATH=FILENAME
80 Back annotate SDF onto design using TYPE (min|typ|max), instance
81 PATH, and SDF file FILENAME, i.e. ./sdf_design
82 --sdf=typ=top/inst=inst.sdf
83
84
86 gtkwave (1)
87
88 The texinfo manual fully documents GHDL. You may also browse it at
89 <http://ghdl.free.fr/ghdl/index.html>.
90
92 This manual page was written by Wesley J. Landaker <wjl@icecavern.net>,
93 for the Debian project (but may be used by others). It is released
94 under the same terms as GHDL, i.e. the GNU General Public License. It
95 was modified by Tristan Gingold to include it in the GHDL sources.
96
97
98
99 Jun 24, 2006 GHDL(1)