1vvp(1)                   $Date: 2005/01/29 06:28:19 $                   vvp(1)
2
3
4

NAME

6       vvp - Icarus Verilog vvp runtime engine
7
8

SYNOPSIS

10       vvp [-sv] [-Mpath] [-mmodule] [-llogfile] inputfile [extended-args...]
11
12

DESCRIPTION

14       vvp is the run time engine that executes the default compiled form gen‐
15       erated by Icarus Verilog. The output from the iverilog command  is  not
16       by  itself  executable  on  any  platform.  Instead, the vvp program is
17       invoked to execute the generated output file.
18
19

OPTIONS

21       vvp accepts the following options:
22
23       -llogfile
24               This flag specifies a logfile where  all  MCI  <stdlog>  output
25               goes.   Specify  logfile as '-' to send log output to <stderr>.
26               $display and friends send their output  both  to  <stdout>  and
27               <stdlog>.
28
29       -Mpath  This  flag adds a directory to the path list used to locate VPI
30               modules. The default path includes only the  install  directory
31               for the system.vpi module, but this flag can add other directo‐
32               ries. Multiple paths are allowed, and modules will be  searched
33               in order.
34
35       -mmodule
36               Tell the vvp run time to load the named module before executing
37               the simulation. The system.vpi module is loaded by default, but
38               additional   modules,   including  modules  that  you  compiled
39               locally, can be specified with this flag. Any number of modules
40               can  be  loaded,  and they will be linked in the order they are
41               listed on the command line.
42
43               Normally, you only need to specify  the  name  of  the  module,
44               without  any  directory path or .vpi suffix and the search path
45               is scanned to find the module. However, if the name includes at
46               least  one  directory  character,  then  the search path is not
47               scanned and the name is assumed to be a complete file name.
48
49       -s      Stop. This will cause the simulation to stop in the  beginning,
50               before  any  events  are scheduled. This allows the interactive
51               user to get hold of the simulation just before it starts.
52
53       -v      Turn on verbose messages. This will cause information about run
54               time progress to be printed to standard out.
55
56

EXTENDED ARGUMENTS

58       The  vvp options described above must come before the design file name.
59       After the design file name, however, there may be any number of unspec‐
60       ified  arguments.  These  arguments  are not interpreted by vvp but are
61       instead passed on to the executed design, and  are  available  via  the
62       $test$plusargs and $value$plusargs system functions.
63
64       Arguments  that  do not start with the plus(+) character are not avail‐
65       able to the $plusargs system tasks, but can still be accessed  via  PLI
66       code  via  the  vpi_get_vlog_info function. This means that vpi modules
67       may use arguments that do not start with + and be assured that they  do
68       not interfere with user defined plus-args.
69
70       There are a few extended arguments that are interpreted by the standard
71       system.vpi module, which implements the standard system tasks and so is
72       always included. These arguments are described here.
73
74       -vcd|-vcd-none
75               This  extended  argument sets the wave dump format to VCD. This
76               is the default in the absence of any  IVERILOG_DUMPER  environ‐
77               ment  variable. The VCD dump files are large and ponderous, but
78               are also maximally compatible with third party tools that  read
79               waveform dumps.
80
81       The -vcd-none variant actually suppresses all waveform output. This can
82       make long simulations run faster.
83
84
85       -lxt|-lxt-speed|-lxt-space|-lxt-none
86               These extended arguments set the wave dump format to lxt,  pos‐
87               sibly  with  format optimizations. The -lxt-space flag sets the
88               output format to lxt with full compression enabled. The result‐
89               ing  files are quite small. The -lxt-speed chooses the lxt com‐
90               pression mode that leads to the best  execution  time  and  the
91               fastest read time, at the expense of some file size.
92
93       The -lxt-none variant actually suppresses all waveform output. This can
94       make long simulations run faster.
95
96
97       -lxt2   The LXT2 format is slower then LXT (faster then VCD) but  takes
98               less  space,  and  is  written out incrementally. Thus, you can
99               view lxt2 files while a simulation is still running (or paused)
100               or  if  your  simulation crashes or is killed, you still have a
101               useful dump.
102
103

ENVIRONMENT

105       The vvp command also accepts some environment  variables  that  control
106       its behavior. These can be used to make semi-permanent changes.
107
108
109       IVERILOG_DUMPER=lxt|lxt2|vcd|none
110               This  selects  the  output format for the waveform output. Nor‐
111               mally, waveforms are dumped in vcd format,  but  this  variable
112               can  be  used  to select lxt format, which is far more compact,
113               though limited to gtkwave or compatible viewers. It can also be
114               used to suppress VCD output, a time-saver for regression tests.
115
116

INTERACTIVE MODE

118       The simulation engine supports an interactive mode. The user may inter‐
119       rupt the simulation (typically by typing Ctrl-C) to get to the interac‐
120       tive  prompt. From that prompt, the help command prints a brief summary
121       of the available commands.
122
123       The interactive mode may also be entered by a call to the $stop  system
124       task  from  within  the simulation, or by a call to the vpi_control VPI
125       function with the vpiStop control argument.  These  means  of  entering
126       interactive mode are equivalent.
127
128

AUTHOR

130       Steve Williams (steve@icarus.com)
131
132

SEE ALSO

134       iverilog(1), iverilog-vpi(1), <http://www.icarus.com/eda/verilog/>
135
136
138       Copyright ©  2001-2003 Stephen Williams
139
140       This document can be freely redistributed according to the terms of the
141       GNU General Public License version 2.0
142
143
144
145Version                  $Date: 2005/01/29 06:28:19 $                   vvp(1)
Impressum